トップ  >  ラズパイ対応 - Raspberry Pi  >  その他  >  Raspberry pi I2S信号用Digital Audio出力(S/PDIF)コンバータ

現在のカゴの中

合計数量:0

税込金額:0円

カゴの中を見る

検索

商品カテゴリから選ぶ
メーカーから選ぶ
商品名を入力

商品名 Raspberry pi I2S信号用Digital Audio出力(S/PDIF)コンバータ
型番 RAL-SRC4392P
商品コード 80000209
JANコード 4949090440173
メーカー ラトックシステム
価格 定価:オープン価格
販売:9,680円
8,800円(税抜)
会員9,680円
8,800円(税抜)
在庫 0
納期目安 商品入荷次第
複数購入に関するお問い合わせは下記のフォームよりお気軽にお問い合わせください。
申し訳ございませんが、只今品切れ中です。

【Raspberry Pi Audio用S/PDIF, I2Sマスターククロック⽣成基板】


RAL-SRC4392PはRaspberry piのI2S出⼒のBCKやLRCLKをノイズやジッタが少ないものに変換し、同時に⼀般的なDAC Chipに接続するために必要なMCLK(Sys CLKとも呼ばれる)と同期したI2S信号を作り出すことを⽬的とした基板モジュールです。




マスタークロックに同期したI2S信号、S/PDIF信号を⽣成

DAC⽤マスタークロック信号がなくソフトウェアで合成されているRaspberry pi オリジナルのI2S(LRCLK,BCK,SDOUT) 出⼒信号を元にマスタークロックとそれに同期したI2S信号、S/PDIF信号を⽣成。

64fsフォーマットの標準I2S信号を⽣成

基板上に2系統(44.1k/22.5792MHzおよび48k/24.576MHz)の独⽴した低雑⾳⽔晶発振モジュールを 実装しマスタークロックを⽣成、それに同期した64fsフォーマットの標準I2S信号を⽣成。


最⾼192kHzのS/PDIF信号を⽣成

基板上のマスタークロックに同期した最⾼192kHzのS/PDIF信号を⽣成。
チャンネルステイタスを含めた互換性の⾼い信号品質。


電源やGND経由のノイズ、信号線に寄⽣するノイズを遮断

Raspberry piのGPIOとは本基板上のデジタルアイソレーションICにより電源およびGND、I2S信号、I2C信号を完全に分離。
電源やGND(電源Return)経由のノイズ、信号線に寄⽣するノイズを遮断。


アイソレーショントランス経由で、S/PDIF信号出⼒ノイズを遮断

S/PDIF信号出⼒はToslink(光、96kHzまで)、アイソレーショントランス経由の同軸 (COAX 75Ω、192kHzまで)を装備。
完全にGNDを分離、⼊⼒のデジタルアイソレーションと合わせて⼆重にRaspberry pi本体と分離することにより、ノイズの影響を遮断。


デジタルオーディオ信号処理シリコン BB(TI)SRC4392を採⽤

サンプリングレートコンバータ、デジタルオーディオ信号処理シリコンとして定評のあるBB(TI)SRC4392を採⽤。
設定ソフトウェアコード(Python2.7)、fs設定ユーティリティ(bash)を提供。


Raspberry piに標準的なDACとのインターフェイスを提供。

TI(BB)PCM17xxシリーズ、Cirrus(Wolfson)、AKMなどマスタークロックを必要とする標準的なDACとのインターフェイスを提供。
定評ある標準的DACによりRaspberry piオーディオの世界がひろがります。

(注1) 本基板のI2S出⼒はデータ⻑24bit(64fs)、先頭1bitダミーのLPCM標準フォーマットです。


(注2) S/PDIF出⼒も64fs、データ部24bitの標準フォーマットです。
Channel statusのfsデータエリアにはデータに対応するfsを⽰すインディケータ(44.1,48,88.2,96,176.4、192kHz のいずれか)がsetされます。


(注3) 本基板のSRC4392のI2S⼊⼒は標準フォーマット以外のデータ⻑の2倍のfs(16bit⻑で32fs、24bit⻑で48fsなど) を受け付けますが、マスタークロックとの同期、64fsの出⼒信号の⽣成のためにはMPD側は64fs出⼒の設定を推奨します。
Volumio2の場合はSampling Rate変換をEnableに、bit⻑を24bitもしくは32bitに設定して下さい。


(注4) 本基板はRaspberry pi基板と完全に独⽴した電源(DC+5V/1A)が必要です。⽤意できない場合は Raspberry pi本体と共通の電源を使⽤することもできますが、GNDループによるハムやノイズに注意して下さい。


(注5) Raspberry piのMPDは楽曲再⽣停⽌時にはI2S信号の送り出しを停⽌します。
本基板上の SRC4392はI2S⼊⼒が停⽌すると同期が外れますので1秒ごとにポッという警告⾳を発します。
この警告⾳をmuteするためのSoftwareをdownloadしてinstallして下さい。



◆Raspberry pi I2S信号Digital Audio(S/PDIF)コンバータ基板の一般仕様◆
名称 Raspberry pi I2S信号Digital Audio(S/PDIF)コンバータ基板
型番 RAL-SRC4392P
インターフェイス(入力) I2S、I2C(0x70/71) Raspberry pi GPIO40P信号より取り出し
インターフェイス(出力) I2S、S/PDIF(光デジタルーToslink、同軸RCA 75Ω)
SR Converter LSI TI(BB) SRC4392
外部電源入力端子 JST XP-2P DC+5V/300mA以上。
消費電流 90mA/DC+5V High Sampling Rate(192kHz)変換実行時
動作環境 温度:0~40℃
湿度:20~80%(ただし結露しないこと)
基板寸法 56mm x 85mm (Raspberry pi B,B+と同一寸法、取付アナ位置も同一)
重量 55g
パッケージ内容 RAL-SRC4392P基板
JST XH-2P コネクタハウジング(赤、黒電線 圧着挿入済) 1個
JST PH-8 コネクタハウジング 1個
JST PH-9コネクタハウジング 1個
JST PH-4 コネクタハウジング 1個
PHコンタクト圧着済(片側)バラリード線(200mm) 20本
2.6M 小ナベビス  8個
2.6M 両メネジサポート 4個
保障期間 1年
生産地 日本国

■ご注意
・本製品は国内仕様となっており、海外についての保守およびサポートはおこなっておりません。
・記載されている名称・商品名は各社の商標または登録商標です。
・予告なく外観または仕様の一部を変更することがあります。
タイトル ダウンロード 説明
RAL-SRC4392P ドキュメント&ソフトウェア一式 RAL_SRC4392_1R0.zip 【1:寸法図】
RAL_SRC4392_dimention_101217.pdf
【2:ブロック図】
RAL-SRC4392ブロック図.pdf
【3:User's Guide】
RAL-SRC4392P User's Guide_1R1.pdf
【4:muteコントロール Pythonプログラム】
src_mute_onoff_3.py
【5:回路図】
SRC_sch(Rev1.0).pdf
【6:初期設定 Pythonプログラム】
src4392_2R7.py
【7:サンプリング周波数設定 shell script】
src4392_setfs_2.sh
SRC_sch(Rev1.0)回路図 SRC_sch(Rev1.0)回路図.pdf SRC_sch(Rev1.0)回路図

ログイン

メールアドレス
パスワード
パスワードを忘れた方は
こちら

製品に関するご相談

OEM・特注などはお気軽にお問い合わせください。
※お問い合わせはこちら
電話でのお問い合わせ 06-7670-5065 平日 9:30~17:00 土日祝お休み

カレンダー

2024年4月の定休日
1 2 3 4 5 6
7 8 9 10 11 12 13
14 15 16 17 18 19 20
21 22 23 24 25 26 27
28 29 30
2024年5月の定休日
1 2 3 4
5 6 7 8 9 10 11
12 13 14 15 16 17 18
19 20 21 22 23 24 25
26 27 28 29 30 31

※赤字は休業日です